極端紫外線リソグラフィ市場、2020年から2021年に、CAGR23.33%で成長見込み

株式会社グローバルインフォメーションは、市場調査レポート「極端紫外線リソグラフィ (EUVL) の世界市場 (2021年) :COVID-19による成長と変化」 (The Business Research Company) の販売を4月21日より開始いたしました。

極端紫外線リソグラフィ市場は、極小の波長を用いて微細な形状の回路を形成し、より高い解像度の出力を得ることができる極端紫外線リソグラフィ装置を製造する事業者(団体、個人事業主、パートナーシップ)による極端紫外線リソグラフィ(EVUL)装置の販売で構成されます。企業間で取引される商品やサービス、または最終消費者に販売される商品やサービスのみが含まれます。

 

極端紫外線リソグラフィ市場は、装置別では光源、ミラー、マスク、その他、光源別ではレーザー生成プラズマ、ガス放電、真空スパーク、エンドユーザー別では集積デバイスメーカー(IDM)、ファウンドリー、その他に分類されています。

 

極端紫外線リソグラフィ市場は、2020年の23億3,000万米ドルから2021年には28億7,000万米ドルに、年平均成長率(CAGR)23.33%で成長すると予測されています。この成長は主に、COVID-19の影響から回復しつつ、企業が操業を再開して新常態に適応していることによるものです。COVID-19は、それ以前に社会的距離を置くことや遠隔地での作業、商業活動の閉鎖などを含む制限的な封じ込め策をとっていたため、操業上の課題が生じていました。この市場は、年率18.72%で2025年には57億米ドルに達すると予想されています。

 

スマートフォンの需要増加が、極端紫外線リソグラフィ市場の需要を押し上げると予想されます。極端紫外線リソグラフィ(EUVL)は、スマートフォンやパーソナルコンピュータ向けに、従来よりも高性能なマイクロプロセッサを製造するために用いられる先進技術です。EUVリソグラフィは、複雑さを抑え、コストを削減し、必要な電力が少ないコンパクトな電子機器チップの構築に役立ちます。ASSOCHAM-PwCが2019年5月に実施した共同調査によると、インドのスマートフォンユーザー数は2022年までに倍増し、8億5,900万人に達すると予想されています。このように、より高度なスマートフォンへの需要の増加は、チップメーカーがより速く、より小さく、より強力なチップを製造できるようにするためのEUVリソグラフィへの高い需要を生み出します。

 

ただし、極端紫外線リソグラフィ装置の価格が高いため、極端紫外線リソグラフィ市場の成長が制限される可能性があります。リソグラフィ装置は、チップ製造の主要なツールの1つです。最も洗練されたチップを切り出すためのハイエンドのリソグラフィマシンは、ASMLという1社のみが製造しています。極限紫外線リソグラフィ装置は、100万点以上の部品で構成されており、価格は約1億2000万米ドルです。そのため、システムの高価格が極端紫外線リソグラフィ市場の成長を制限する可能性があります。

 

 

 

【 当レポートの詳細目次 】

https://www.gii.co.jp/report/tbrc992169-extreme-ultraviolet-lithography-global-market.html

 

ご購入検討用にレポートサンプルのご提供なども行っております(無料)。

 

【本件に関するお問合せは下記まで】

販売代理店 株式会社グローバルインフォメーション

Tel:044-952-0102

e-mail:jp-info@gii.co.jp

URL:https://www.gii.co.jp/

〒215-0004

神奈川県川崎市麻生区万福寺1-2-3 アーシスビル7F



ログインするとメディアの方限定で公開されている
お問い合わせ先や情報がご覧いただけます

企業情報

企業名 株式会社グローバルインフォメーション
代表者名 樋口 荘祐
業種 その他サービス

コラム

    株式会社グローバルインフォメーションの
    関連プレスリリース

    株式会社グローバルインフォメーションの
    関連プレスリリースをもっと見る

    • クリックして、タイトル・URLをコピーします
    • facebook
    • line
    • このエントリーをはてなブックマークに追加

    プレスリリース詳細検索

    キーワード

    配信日(期間)

    年  月  日 〜 年  月 

    カテゴリ

    業界(ジャンル)

    地域